网站首页 站内搜索

搜索结果

查询Tags标签: b0,共有 11条记录
  • 【D触发器】— 时序图

    一个非阻塞赋值可以综合成一个D触发器module test_01 ( input clk, input rst_n, input in_01,output reg out_02 );always@(posedge clk or negedge rst_n)begin if(rst_n == 1b0) out_02 <= 1b0; else out_02 <= in_01;// 综合成D触发器endendmodule 测试文件`ti…

    2022/4/20 23:20:50 人评论 次浏览
  • 数据库基础知识及常用命令之表内内容的增删改查(总结)

    我是小白,刚接触MySQL不久,现阶段正在学习,为此在CSDN上留下自己的学习笔记。如果有错误的地方还请大家见谅,评论或者私发我错误地方哦,谢谢大家,嘿嘿~此篇为表内内容的增删改查,上篇为表的增删改查(传送门:表的增删改查)这篇在库book1里进行对表b0添加值。增:…

    2022/2/28 19:23:18 人评论 次浏览
  • matlab练习程序(B样条反算控制点)

    如果要准确反求B样条的控制点,有几个参数还是要事先知道的: 1. 样条的控制点个数。 2. B样条曲线的所有点坐标和个数。 3. B样条基函数。 一般条件2容易知道一些,1和3还是比较难事先知道的。 如果待求控制点为四个,B样条曲线点个数为n个,并且已知基函数形式如下面代码…

    2021/12/25 20:37:16 人评论 次浏览
  • matlab练习程序(B样条反算控制点)

    如果要准确反求B样条的控制点,有几个参数还是要事先知道的: 1. 样条的控制点个数。 2. B样条曲线的所有点坐标和个数。 3. B样条基函数。 一般条件2容易知道一些,1和3还是比较难事先知道的。 如果待求控制点为四个,B样条曲线点个数为n个,并且已知基函数形式如下面代码…

    2021/12/25 20:37:16 人评论 次浏览
  • 2021-09-11

    CCF 202006-1 线性分类器(C/C++实现 100分)(1)问题描述 题干过长,故意描述的看起来很难,实际是难度并不大,需要细心分析。 (2)代码 #include<iostream> using namespace std; int site[1001][2];//存放坐标 int result[1001];//存放结果,为1表示可以分…

    2021/9/11 23:36:38 人评论 次浏览
  • 2021-09-11

    CCF 202006-1 线性分类器(C/C++实现 100分)(1)问题描述 题干过长,故意描述的看起来很难,实际是难度并不大,需要细心分析。 (2)代码 #include<iostream> using namespace std; int site[1001][2];//存放坐标 int result[1001];//存放结果,为1表示可以分…

    2021/9/11 23:36:38 人评论 次浏览
  • 作业1:梯度下降法

    import numpy as np import matplotlib.pyplot as pltx = np.array([[2104, 3], [1600, 3], [2400, 3], [1416, 2],[3000,4]]) t = np.array([400, 330, 365, 232, 540]) a = 0.1 b0 = np.random.random() b1 = np.random.random() b2 = np.random.random() b = np.array(…

    2021/9/3 23:07:02 人评论 次浏览
  • 作业1:梯度下降法

    import numpy as np import matplotlib.pyplot as pltx = np.array([[2104, 3], [1600, 3], [2400, 3], [1416, 2],[3000,4]]) t = np.array([400, 330, 365, 232, 540]) a = 0.1 b0 = np.random.random() b1 = np.random.random() b2 = np.random.random() b = np.array(…

    2021/9/3 23:07:02 人评论 次浏览
  • 2021牛客暑期多校训练营1&2

    题目整理: 第一场因为起晚了没赶上前半场(汗) 我参与做的是H和K H:Hash Function 题意是给出n个数a1~an,求出一个最小的模数p,使得所有ai对p取模互不相同 1≤n≤500000 0≤a i≤500000 并且ai之间互不相等 标算似乎是FFT还是NTT,不太清楚 我们队的做法是先用0.5s删…

    2021/7/20 6:07:48 人评论 次浏览
  • 2021牛客暑期多校训练营1&2

    题目整理: 第一场因为起晚了没赶上前半场(汗) 我参与做的是H和K H:Hash Function 题意是给出n个数a1~an,求出一个最小的模数p,使得所有ai对p取模互不相同 1≤n≤500000 0≤a i≤500000 并且ai之间互不相等 标算似乎是FFT还是NTT,不太清楚 我们队的做法是先用0.5s删…

    2021/7/20 6:07:48 人评论 次浏览
  • 2021-06-30

    实验步骤 1.实验目的: Verilog HDL测试模块和时序逻辑的测试模块 2.实验工具: 电脑和 modelsim软件。 3.实验过程: 第一步:打开modelsim软件。 第二步:点击“file-new-project”,建立项目名称为“work”,点击“OK”后,建立一个新的文件为实验的名称,选择“Verilo…

    2021/6/30 23:22:38 人评论 次浏览
扫一扫关注最新编程教程