网站首页 站内搜索

搜索结果

查询Tags标签: coverpoint,共有 2条记录
  • functional coverage

    cp_x: coverpoint x{bins mod3[] = {[0:255]} with (item % 3 == 0); } cp_b: coverpoint b{bins func[] = cp_b with (myfunc(item)); }/// class sram_monitor;virtual sram_interface sram_vif;covergroup cg_read with function sample(int addr, );cp_addr: coverpoi…

    2021/9/10 6:03:55 人评论 次浏览
  • functional coverage

    cp_x: coverpoint x{bins mod3[] = {[0:255]} with (item % 3 == 0); } cp_b: coverpoint b{bins func[] = cp_b with (myfunc(item)); }/// class sram_monitor;virtual sram_interface sram_vif;covergroup cg_read with function sample(int addr, );cp_addr: coverpoi…

    2021/9/10 6:03:55 人评论 次浏览
扫一扫关注最新编程教程