网站首页 站内搜索

搜索结果

查询Tags标签: reg,共有 144条记录
  • Excel通过JS宏自定义过滤、查找等操作

    Excel通过JS宏自定义过滤、查找等操作 打开宏开发工具编写JS代码 function myTest() {addSheet()setValue()regFind()deleteSheet() }// 新增sheet function addSheet(name) {var st = Sheets.Add()st.Name = name || "测试" }// 删除sheet function deleteShee…

    2022/9/16 23:47:19 人评论 次浏览
  • JavaScript-正则表达式基础知识

    1 正则表达式介绍 1.1 正则表达式的概念 正则表达式是对字符串操作的一种逻辑公式,就是用事先定义好的一些特定字符、及这些特定字符的组合,组成一个“规则字符串”,这个“规则字符串”用来表达对字符串的一种过滤逻辑。用我们自己的话来说: 正则表达式用来校验字符串是…

    2022/9/13 14:16:30 人评论 次浏览
  • 内网安全之:Windows系统帐号隐藏

    Windows系统帐号隐藏 目录Windows系统帐号隐藏1 CMD下创建隐藏账户2 注册表创建隐藏账户3 利用工具隐藏账户 1 CMD下创建隐藏账户CMD下创建隐藏账户 net user test$ 123456 /add把隐藏账户加入管理员用户组 net localgroup administrators piao$ /add这种方法只能将账户在…

    2022/9/9 5:24:45 人评论 次浏览
  • verilog基础

    位宽: 在芯片设计中,可以理解位连接的线路,位宽数表示线路数量; 芯片内部寄存器:芯片内部由1bit的寄存器组合构成不同位宽寄存器 verilog基础数据类型: wire 线网 :表示硬件单元之间的物理连线,由其连接的器件输出端连续驱动;wire interrup;wire flag1, flag2;w…

    2022/9/5 23:25:40 人评论 次浏览
  • 学习python-Day52

    今日学习内容作业讲解 用户管理系统(单表)配置文件settings中关于template的文件路径修改和添加。 将新建的应用注册 接口前缀模型类在models文件中创建各个类对应数据库页面搭建 数据添加的逻辑 数据编辑的逻辑 数据删除的逻辑django请求生命周期流程图1.浏览器想要某个…

    2022/9/2 14:23:07 人评论 次浏览
  • 路由匹配与解析

    django请求生命周期路由匹配 1.路由 path(网址后缀,函数名) 一旦网址的后缀匹配上时,就会自动执行后面的函数并结束整个路由的匹配2.路由结尾的斜杠 2.1如果输入网址的时候不写斜杠,django会就会进行二次处理 自动加上斜杠,再匹配一次 2.2django配置文件中可以指定是否…

    2022/9/1 23:26:04 人评论 次浏览
  • uvm callback and reg backdoor access callback

    uvm callback and reg backdoor access callback using uvm_callback class Linux上写的,没有中文输入法,也不翻译了,留个档。 there are 4 main steps to using uvm_callback class to implement callback function.extend callback class from uvm_callback class, a…

    2022/8/31 6:24:33 人评论 次浏览
  • window.location:

    1.window.location: window的location对象 2.window.location.href整个URl字符串(在浏览器中就是完整的地址栏) 3.window.location.protocolURL 的协议部分返回值:http: 4.window.location.hostURL 的主机部分(带端口号) 5.window.location.portURL 的端口部分。 5.windo…

    2022/8/20 23:56:19 人评论 次浏览
  • django路由层

    1、django请求生命周期流程图2、路由层 2.1路由匹配 """ url方法第一个参数是正则表达式,只要第一个参数正则表达式能够匹配到内容 那么就会立刻停止往下匹配.直接执行对应的视图函数 解决方式:在url后面加斜杠注意:在输入url的时候会默认加斜杠,django…

    2022/8/15 23:23:57 人评论 次浏览
  • JavaScript基础回顾知识点记录4-正则表达式篇

    js 中 正则表达式使用创建正则对象和test方法使用 /*创建正则表达式的对象语法: var 变量 = new RegExp("正则表达式","匹配模式") 或者 var 变量 = /正则表达式/匹配模式: i:忽略大小写 。 g:全局匹配模式 *///var reg = new RegExp(&quo…

    2022/8/13 1:25:39 人评论 次浏览
  • HJ71 字符串通配符

    题目描述问题描述:在计算机中,通配符一种特殊语法,广泛应用于文件搜索、数据库、正则表达式等领域。现要求各位实现字符串通配符的算法。要求:实现如下2个通配符:*:匹配0个或以上的字符(注:能被*和?匹配的字符仅由英文字母和数字0到9组成,下同)?:匹配1个字符…

    2022/8/7 23:23:55 人评论 次浏览
  • Windows提权 —— MSI文件提权

    MSI文件提权 MSI文件提权,即任意用户以SYSTEM权限安装MSI文件造成提权。AlwaysInstallElevated是注册表的一个键值,当其值为1时候,在系统中使用Windows Installer安装任何程序,允许非特权用户以system权限运行MSI文件。如果目标系统上启用了这一设置,我们可以使用msf…

    2022/8/4 5:23:59 人评论 次浏览
  • Linux设备树学习 简记

    目录一、结构示例二、节点2.1 根节点2.2 特殊节点/aliases 子节点/memory 子节点/chosen 子节点 (uboot的设备树中使用)/cpus 和 /cpus/cpu* 子节点2.3 节点属性compatiblemodelphandlestatus#address-cells 和 #size-cellsreg 属性ranges 属性(略)name 属性(略)device_ty…

    2022/7/26 5:24:03 人评论 次浏览
  • loader的原理

    loader的基本原理帮助浏览器将不同类型的文件资源转化为浏览器可识别的资源分类前置loader: pre 普通loader: normal 内联loader: inline 后置loader:post执行顺序: pre > normal > inline > post 相同优先级: 从右到左, 从下到上 开发一个loader每个loader都是…

    2022/7/21 6:24:48 人评论 次浏览
  • 正则表达式从入门到入坑

    正则表达式从入门到入坑 入坑前先介绍两个辅助网站:正则表达式测试网站:https://regex101.com正则表达式思维导图:https://regexper.com正则基础(入门) 1、元字符 进入正题,我们先去了解最基本的字符及其初步应用。元字符描述\ 将下一个字符标记为一个特殊字符、或一…

    2022/7/21 6:24:39 人评论 次浏览
共144记录«上一页1234...10下一页»
扫一扫关注最新编程教程