网站首页 站内搜索

搜索结果

查询Tags标签: uvm,共有 18条记录
  • TLM通信示例11:TLM FIFO Example

    TLM FIFO 为两个独立运行的进程之间的事务提供存储服务。FIFO可以用作生产者和消费者之间的缓冲区 TLM FIFO 由 put 和 get 方法组成 Producer port连接到 FIFO 的 put_export Consumer port连接到FIFO的get_exportTLM TesetBench 组件 —————————————————…

    2022/9/10 23:24:35 人评论 次浏览
  • uvm callback and reg backdoor access callback

    uvm callback and reg backdoor access callback using uvm_callback class Linux上写的,没有中文输入法,也不翻译了,留个档。 there are 4 main steps to using uvm_callback class to implement callback function.extend callback class from uvm_callback class, a…

    2022/8/31 6:24:33 人评论 次浏览
  • UVM中随机结构体struct的代码

    typedef struct {rand int ver_pixel,H_Fornt,H_Sync,H_Back,hor_pixel,V_Fornt,V_Sync,V_Back;}pxiel_timming_parameter;typedef enum { TIMMING_3840X2160 = 0, TIMMING_1920X1080 =1 , TIMMING_640X480 =2 ,TIMMING_RANDOM = 3} timming_type; //add class lut_3d_rg…

    2022/6/30 23:19:27 人评论 次浏览
  • uvm_agent+configuration object+configuration database(uvm验证平台)

    资料来源 (1) 《The UVM Primer》第22章1.top.sv1 module top;2 import uvm_pkg::*;3 import tinyalu_pkg::*;4 `include "tinyalu_macros.svh"5 `include "uvm_macros.svh"6 7 tinyalu_bfm class_bfm();8 9 tinyalu class_dut…

    2022/4/14 23:16:10 人评论 次浏览
  • (0321) 路科 视频 ,讲 uvm_pkg

    loading

    2022/3/21 6:27:47 人评论 次浏览
  • 日常记录(73)、241寄存器模型

    我的DUT我只用了mem[0],它的地址是0x12345678。 信号线一共就这几条、时钟、复位、地址、写数据线、读数据线、数据使能线、写读方向线。 三段always,其中第一段没有用。module dut (clk, rst_n, addr, w_data, r_data, data_valid, w_enable);input clk, rst_n;input [3…

    2022/3/9 23:19:36 人评论 次浏览
  • 日常记录(69)回顾/100

    uvm的功能覆盖率收集 类定义 创建了一个类,继承于uvm_subscriber#(trans_name) https://gitee.com/bai-mengwei/sy_uvm_tb/blob/main/inout_coverage.sv#L4 另外需要定义和实例化trans后,后面write的时候需要赋值。 实现write函数 用于外部调用,名字必须为t。(继承关系…

    2022/3/4 23:21:12 人评论 次浏览
  • 寄存器模型(RAL,Register Abstraction Layer)——UVM

    文章目录 一、寄存器模型的背景1.1 寄存器模型的背景1.2 访问寄存器模型方式1.3 寄存器模型基本概念1.4 寄存器模型建模要点和顺序 二、寄存器模型与验证环境的集成2.1 寄存器模型与DUT桥接2.2 adapter作用2.3 adapter与寄存器模型集成 三、访问寄存器地不同方式3.1 前门访…

    2022/2/2 23:13:45 人评论 次浏览
  • UVM入门与进阶学习笔记12——TLM2通信(2)

    目录 同步通信元件uvm_eventuvm_barrieruvm_callback同步通信元件 SV用来做线程同步的几种元件,它们分别是semaphore、event、mailbox。在UVM中,需要同步线程不再只局限于同一个对象中,还需要解决不同组件之间的线程同步问题。一旦线程同步要求发生在不同组件,这就要求…

    2022/1/24 23:09:12 人评论 次浏览
  • UVM实战 卷I学习笔记11——UVM中的factory机制(2)

    目录 *复杂的重载*factory机制的调试 常用的重载*重载transaction*重载sequence*重载component重载driver以实现所有的测试用例*复杂的重载 前面的例子讲述了简单的重载功能,即只使用一种类型重载另外一种类型。事实上UVM支持连续的重载。依然以bird与parrot的例子讲述,…

    2022/1/10 23:37:23 人评论 次浏览
  • UVM实战 卷I学习笔记11——UVM中的factory机制(2)

    目录 *复杂的重载*factory机制的调试 常用的重载*重载transaction*重载sequence*重载component重载driver以实现所有的测试用例*复杂的重载 前面的例子讲述了简单的重载功能,即只使用一种类型重载另外一种类型。事实上UVM支持连续的重载。依然以bird与parrot的例子讲述,…

    2022/1/10 23:37:23 人评论 次浏览
  • 篇?-uvm_factory

    资料来源: (1)公众号-芯片学堂; 1.uvm_factory主要函数 1.1函数简介 (1)uvm_factory中定义了一系列纯虚函数,主要包括以下几种功能:重载,创建,查找,调试等; (2)uvm_factory的函数根据参数可以分为基于名字(name-based)和基于类型(type-based)两类; 每一种相同的功能都有两…

    2021/11/13 23:14:03 人评论 次浏览
  • 篇?-uvm_factory

    资料来源: (1)公众号-芯片学堂; 1.uvm_factory主要函数 1.1函数简介 (1)uvm_factory中定义了一系列纯虚函数,主要包括以下几种功能:重载,创建,查找,调试等; (2)uvm_factory的函数根据参数可以分为基于名字(name-based)和基于类型(type-based)两类; 每一种相同的功能都有两…

    2021/11/13 23:14:03 人评论 次浏览
  • UVM_COOKBOOK学习【DUT-Testbench Connections】

    关注微信公众号摸鱼范式,后台回复COOKBOOK获取COOKBOOK原本和译本 PDF度盘链接 将testbench连接到DUT 概述 本节,我们主要讨论将UVM testbench连接到RTL DUT的问题。 UVM testbench对象不能直接连接到DUT信号来驱动或采样。driver和monitor组件对象与DUT之间的连接是通…

    2021/8/23 23:36:36 人评论 次浏览
  • UVM_COOKBOOK学习【DUT-Testbench Connections】

    关注微信公众号摸鱼范式,后台回复COOKBOOK获取COOKBOOK原本和译本 PDF度盘链接 将testbench连接到DUT 概述 本节,我们主要讨论将UVM testbench连接到RTL DUT的问题。 UVM testbench对象不能直接连接到DUT信号来驱动或采样。driver和monitor组件对象与DUT之间的连接是通…

    2021/8/23 23:36:36 人评论 次浏览
共18记录«上一页12下一页»
扫一扫关注最新编程教程