网站首页 站内搜索

搜索结果

查询Tags标签: 时钟,共有 200条记录
  • STM32补充基础知识1:时钟和总线(RCC、AHB、APB)

    一.时钟 1.概述分类:HSI、HSE、LSE、LSI四种 作用:一般用于系统时钟,实时时钟(RTC)、系统时钟以及驱动看门狗等 补充:时钟速度与总线传输息息相关,时钟控制总线中数据存取速度以及CPU计算速度2.时钟控制相关函数(7类)时钟使能 时钟源 分频系数 外设时钟使能 外设…

    2022/9/10 23:26:40 人评论 次浏览
  • Linux调度系统全景指南(中篇)

    抢占 早期的Linux核心是不可抢占的。它的调度方法是:一个进程可以通过schedule()函数自愿地启动一次调度。非自愿的强制性调度只能发生在每次从系统调用返回的前夕,以及每次从中断或异常处理返回到用户空间的前夕。但是,如果在系统空间发生中断或异常是不会引起调度的。…

    2022/9/7 5:24:08 人评论 次浏览
  • 河北稳控科技可编程 USB 转串口适配器开发板 参数设置与修改

    河北稳控科技可编程 USB 转串口适配器开发板 参数设置与修改 1.参数设置 1.1 UART 通讯参数设置 UART1 和 UART2 默认通讯参数为 9600,N,8,1,可通过以下关键字指令进行修改。[STU1]B N D S B 通讯速率,单位 bps,可以为 1200~921600 之间的任一通讯速率N 校验位,N 表示…

    2022/8/31 1:22:59 人评论 次浏览
  • 用JavaScript写一个能开始和暂停的时钟

    //sScriptvar showTime = document.getElementById(seconds)var id =0function fn(){var i = 0var s = 0var m = 0var h = 0id =setInterval(function(){i++s = fn2(i%60)m = fn2(parseInt(i/60%60))h = fn2(parseInt(i/3600%24))showTime.innerHTML = `${h}小时 ${m}分钟…

    2022/8/4 1:22:44 人评论 次浏览
  • verilog中testbench仿真时钟的生成

    一、普通时钟信号: 1、基于initial语句的方法: parameter clk_period = 10; reg clk; initial begin clk = 0; forever #(clk_period/2) clk = ~clk; end 2、基于always语句的方法: parameter clk_period = 10; reg clk; initial clk = 0; always #(c…

    2022/7/28 23:30:39 人评论 次浏览
  • Spyglass CDC工具使用(三)

    最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass检查之CDC(2) | 码农家园 (codenong.com)文章目录Clock_info03aClock_info05a/bClock_info18本篇文章主要介绍CDC检查第…

    2022/7/7 23:20:12 人评论 次浏览
  • Spyglass CDC工具使用(二)

    最近一直在搞CDC (clock domain crossing) 方面的事情,现在就CDC的一些知识点进行总结。 做CDC检查使用的是Spyglass工具。以下内容转载自:Spyglass检查之CDC(2) | 码农家园 (codenong.com) 文章目录Clock_info01 Reset_info1 Setup_clock01本文主要介绍cdc_setup过程…

    2022/7/7 23:20:11 人评论 次浏览
  • linux 局域网时钟同步

    Linux时钟同步 一 需求 ​ 以172.20.1.152作为时钟服务器,其他服务器根据这台服务器进行时钟同步。 二 时钟服务器172.20.1.152实施 2.1 安装ntp #检查是否已经安装,出现了红框中的就说明已经安装了 rpm -qa | grep ntp #安装ntp yum install ntp2.2 配置时钟服务器 vi …

    2022/6/26 5:21:44 人评论 次浏览
  • STM32时钟系统配置程序源码深入分析

    一、分析程序的目的 最近我在移植实时系统是遇到了一些问题,所以决定深入了解系统时钟的配置过程,当然想要学好stm32的小伙伴也有必要学习好时钟系统的配置,所以我将学习的过程再次记录,有写得不好的地方,望小伙伴指出。 之前我已经记录过一篇关于时钟系统的文章,对…

    2022/6/8 1:21:22 人评论 次浏览
  • 跨时钟域传输:快到慢

    慢时钟域采集从快时钟域传输来的信号时,需要根据信号的特点来进行同步处理。对于单 bit 信号,一般可根据电平信号和脉冲信号来区分。电平信号同步同步逻辑设计中,电平信号是指长时间保持不变的信号。保持不变的时间限定,是相对于慢时钟而言的。只要快时钟的信号保持高…

    2022/6/1 23:20:19 人评论 次浏览
  • 计算机的性能指标

    计算机组成原理第一章 计算机的性能指标 吞吐量一台计算机在某一时间间隔内能够处理的信息量响应时间从输入有效到系统产生响应之间的时间度量,用时间单位来表示利用率在给定的时间间隔内,系统被实际使用的时间所占的比率,用百分比表示。处理机字长(机器字长)处理机运…

    2022/5/1 23:13:15 人评论 次浏览
  • ARM接口技术——RTC实时时钟

    RTC RTC简介 RTC(Real Time Clock)即实时时钟,它是一个可以为系统提供精确的时间基准的元器件; 与一般元器件使用的时钟源不同,RTC一般采用精度较高的晶振作为时钟源,因为它对精度要求较高; 一般RTC为了在主电源掉电时还可以工作,需要额外加电池供电。 Exynos4412下…

    2022/4/28 6:12:54 人评论 次浏览
  • 图片时钟

    <!DOCTYPE html> <html lang="en"> <head><meta charset="UTF-8"><meta http-equiv="X-UA-Compatible" content="IE=edge"><meta name="viewport" content="width=device-width…

    2022/4/20 6:13:43 人评论 次浏览
  • 【IP】Clocking Wizard

    clocking wrizard属于非常常用的IP核,可用于时钟的分频、倍频,在工程中需要多个时钟时,通常选用IP核由主时钟产生其他时钟。 一、Clocking Options 1、Clock Monitor选项是时钟监控,一般情况下不勾选。2、该IP核具有两种结构:mixed-mode clock manager (MMCM) 和 ph…

    2022/4/14 6:17:28 人评论 次浏览
  • arduino读取时钟

    Arduino 实时时钟DS1302模块 https://dsx2016.com/?p=1583 DS1302 内部有一个 318 的用于临时性存放数据的 RAM 寄存器。 DS1302 是 DS1202 的升级产品,与 DS1202兼容,但增加了主电源/后备电源双电源引脚,同时提供了对后备电源进行涓细电流充电的能力。 // DS1302 CL…

    2022/4/8 6:19:05 人评论 次浏览
共200记录«上一页1234...14下一页»
扫一扫关注最新编程教程