【Sublime】Ubuntu下为 Sublime 配置 Verilog 语法检查插件

2021/7/7 7:06:49

本文主要是介绍【Sublime】Ubuntu下为 Sublime 配置 Verilog 语法检查插件,对大家解决编程问题具有一定的参考价值,需要的程序猿们随着小编来一起学习吧!


文章目录

    • 一、SublimeLinter 插件介绍
    • 二、SublimeLinter 在Linux下的配置
      • 安装配套的 iverilog
      • 配置插件

一、SublimeLinter 插件介绍

SublimeLinter 在我之前的一篇博客中有过介绍,并提供了语法检查插件 SublimeLinter-contrib-iverilog 在 Windows 下的安装方法。链接点击此处→【Sublime】Sublime Text3 的一些提高生产力的 Verilog 插件

二、SublimeLinter 在Linux下的配置

安装配套的 iverilog

这里不再赘述 SublimeLinter-contrib-iverilog 是如何在 Sublime 中安装的了,仅讲述 SublimeLinter-contrib-iverilog 在 Ubuntu下的配置方法和与其配套的 iverilog 的安装方式。

官网中已经提供了 Ubuntu 环境下配套 iverilog 的安装和插件的配置方法;此处为插件的官网 https://packagecontrol.io/packages/SublimeLinter-contrib-iverilog

在这里插入图片描述

官网的方法是用 curl 工具将将图上网址中的 shell 文件 copy 到本地并执行,但实际上这个网址被墙了,直接 curl 是访问不到的。因此我在 win 下翻墙进去把内容 copy 到本地新建的 shell 脚本里。
shell 脚本内容如下:

#!/usr/bin/env bash

# This script compiles and installs the master branch iverilog.
# Tested on Ubuntu 18.04

SCRIPT_DIR="$( cd "$( dirname "${BASH_SOURCE[0]}" )" && pwd )"
THREAD_CNT=$(getconf _NPROCESSORS_ONLN)

INSTALL_DIR="/usr/local/iverilog"

sudo apt install -y autoconf gperf

pushd "/tmp" || exit

wget "https://github.com/steveicarus/iverilog/archive/master.zip" -O "iverilog.zip"
unzip "iverilog.zip"; rm -f "iverilog.zip"

pushd "iverilog-master" || exit

autoconf || exit
./configure --prefix="${INSTALL_DIR}" || exit
make -j"${THREAD_CNT}" || exit
sudo make install || exit

popd || exit

rm -rf "iverilog-master"

popd || exit

然后执行此脚本,等待配套的 iverilog 安装成功。

source ./<FileName>.sh

配置插件

插件和 iverilog 都安装完毕后在 Sublime 中点击 Preference -> Package settings -> SublimeLinter -> settings,在打开页面的{ }中输入以下配置代码:

   "paths": {
       "linux": [
       		"/usr/local/iverilog/bin",
       ],
       "osx": [],
       "windows": [],
   },
 
   "linters": {
       "iverilog": {
           "disable": false,
           "args": ["-i"], // add the "-i" flag
           "excludes": [],
       },
   },

配置信息中的 /usr/local/iverilog/bin 就是 iverilog 的 bin 目录所在的默认路径。

重启 Sublime ,即可实现 Verilog 的语法检测。



这篇关于【Sublime】Ubuntu下为 Sublime 配置 Verilog 语法检查插件的文章就介绍到这儿,希望我们推荐的文章对大家有所帮助,也希望大家多多支持为之网!


扫一扫关注最新编程教程