【Verilog基础】Verilog中的逻辑值

2022/1/7 23:05:08

本文主要是介绍【Verilog基础】Verilog中的逻辑值,对大家解决编程问题具有一定的参考价值,需要的程序猿们随着小编来一起学习吧!

在二进制计数中,单比特逻辑值只有“0”和“1”两种状态,而在 Verilog 语言中,为了对电路了进行精确的建模,又增加了两种逻辑状态,即“X”和“Z”。

当“X”用作信号状态时表示未知,当用作条件判断时(在 casex 或 casez)表示不关心;“Z”表示高阻状态,也就是没有任何驱动,通常用来对三态总线进行建模。在综合工具眼中,或者说在实际实现的电路中,并没有什么 X 值,只存在 0、 1 和 Z 三种状态。在实际电路中还可能出现亚稳态,它既不是 0,也不是 1,而是一种不稳定的状态。

Verilog 语言中的所有数据都是由以上描述的 4 种基本逻辑值“0”、“1”、“X”和“Z”构成的,同时,“X”和“Z”是不区分大小写的,例如 0z1x 和 0Z1X 表示同一个数据。



这篇关于【Verilog基础】Verilog中的逻辑值的文章就介绍到这儿,希望我们推荐的文章对大家有所帮助,也希望大家多多支持为之网!


扫一扫关注最新编程教程