Verilog--数据类型

2022/1/29 23:37:57

本文主要是介绍Verilog--数据类型,对大家解决编程问题具有一定的参考价值,需要的程序猿们随着小编来一起学习吧!

在 Verilog 语言中,主要有三大类数据类型:

 寄存器数据类型、线网数据类型和参数数据类型。

从名称中,我们可以看出,真正在数字电路中起作用的数据类型应该是  寄存器数据类型线网数据类型

寄存器类型:

      寄存器表示一个抽象的数据存储单元,通过赋值语句可以改变寄存器储存的值

      寄存器数据类型的关键字是 reg,reg 类型数据的默认初始值为不定值x

 

reg类型的数据只能在 always 语句和 initial 语句中被赋值。

      如果该过程语句描述的是时序逻辑,即always语句带有时钟信号,则该寄存器变量对应为触发器;

      如果该过程语句描述的是组合逻辑,即always语句不带有时钟信号,则该寄存器变量对应为硬件连线;

线网类型:

    线网数据类型表示结构实体(例如门)之间的物理连线。

    线网类型的变量不能储存值,它的值是由驱动它的元件所决定的。

驱动线网类型变量的元件有门、连续赋值语句、assign等。

如果没有驱动元件连接到线网类型的变量上,则该变量就是高阻的,即其值为z。

线网数据类型包括 wire 型和 tri 型,其中最常用的就是 wire 类型。

参数类型:

    参数其实就是一个常量,在 Verilog HDL 中用 parameter 定义常量。

       我们可以一次定义多个参数,参数与参数之间需要用逗号隔开。

       每个参数定义的右边必须是一个常数表达式。

参数型数据常用于定义状态机的状态、数据位宽和延迟大小等。

采用标识符来代表一个常量可以提高程序的可读性和可维护性。

在模块调用时,可通过参数传递来改变被调用模块中已定义的参数。

 

 



这篇关于Verilog--数据类型的文章就介绍到这儿,希望我们推荐的文章对大家有所帮助,也希望大家多多支持为之网!


扫一扫关注最新编程教程