07-Vector-向量翻转

2022/1/27 23:07:06

本文主要是介绍07-Vector-向量翻转,对大家解决编程问题具有一定的参考价值,需要的程序猿们随着小编来一起学习吧!

Verilog HDL(HDLBits)

Verilog Language Basic

07-Vector-向量翻转
Given an 8-bit input vector [7:0], reverse its bit ordering.(将该向量翻转,第一位变最后一位,倒数第二变第二,以此类推)

module top_module( 
    input [7:0] in,
    output [7:0] out
);
   /* 
    integer i;
    always@(*)
    begin
        for(i = 0; i <= 7; i = i + 1)
        	begin
            out[i] = in[7-i];
        	end
    end
    */
    integer i;
    always@(*)
        begin
            for(i = 0;i <= 7;i = i+1)
               out[i] = in[7-i];
        end

endmodule


这篇关于07-Vector-向量翻转的文章就介绍到这儿,希望我们推荐的文章对大家有所帮助,也希望大家多多支持为之网!


扫一扫关注最新编程教程